Welcome![Sign In][Sign Up]
Location:
Search - Verilog ADC

Search list

[Other resourcesample8

Description: 运行在FPGA上的Verilog程序,实现对ADC的控制。在控制模块提供的时钟及控制信号下工作,完成模拟信号的量化和编码。
Platform: | Size: 300036 | Author: 叶开 | Hits:

[Other resourceadc_verilog

Description: adc verilog 用verilog编写的sigma-delta adc例子 应用在计量类adc产品
Platform: | Size: 3174 | Author: 张鸿 | Hits:

[Other resourceADControl

Description: 此程序为Verilog控制ADC的全部程序,已检验可以应用
Platform: | Size: 140211 | Author: Johonson | Hits:

[Other resourceADCtest

Description: 利用Verilog HDL对AD7705进行控制ADC采样,实验室师兄的
Platform: | Size: 590128 | Author: ticklay | Hits:

[VHDL-FPGA-VerilogCPLD读取ADS7886

Description:

CPLD读取Ti串行ADC芯片ADSL7886的Verilog代码


Platform: | Size: 709 | Author: agedgm | Hits:

[VHDL-FPGA-VerilogADC0809

Description: ADC0809为8位AD,程序为利用FPGA实现ADC0809对于信号的模数转换。-ADC0809 8-bit AD, procedures for the use of FPGA implementation ADC0809 analog to digital conversion for the signal.
Platform: | Size: 349184 | Author: stt | Hits:

[VHDL-FPGA-Verilogadc_verilog

Description: 用verilog编写的ADC控制接口,只需根据具体ADC器件的时序图修改代码就可运行。-ADC prepared with verilog control interface, just depending on the ADC timing diagram of the device can modify the code to run.
Platform: | Size: 116736 | Author: yhb | Hits:

[VHDL-FPGA-VerilogADS8328

Description: 高速精密ADC,TI公司的ADS8328的FPGA控制程序,使用verilog语言-High-speed precision ADC, TI s ADS8328 control program the FPGA using verilog language
Platform: | Size: 1024 | Author: 惊堂木 | Hits:

[VHDL-FPGA-VerilogVirtex-Analog-to-Digital-Converter

Description: adc verilog 很不错的,希望对大家有所帮组-adc verilog
Platform: | Size: 34816 | Author: liwei | Hits:

[VHDL-FPGA-Verilogsource_file

Description: 图像传感器数字控制模块,verilog编写,内涵ADC接口,FPGA验证通过。-image sensor digital controller module
Platform: | Size: 14336 | Author: 引文 | Hits:

[VHDL-FPGA-VerilogADC_16bit

Description: 16位ADC的verilog源代码 16-bit Analogue-Digital Converter-16-bit ADC verilog source code 16-bit Analogue-Digital Converter
Platform: | Size: 2048 | Author: wangzhenliang | Hits:

[VHDL-FPGA-VerilogADCcaiyang

Description: 用Verilog HDL实现ADC采样。-Stepper motor control using Verilog HDL. Can the intelligent control speed.
Platform: | Size: 2228224 | Author: Frank Chen | Hits:

[VHDL-FPGA-VerilogCont_THS1207

Description: FPGA控制THS1207多通道ADC的verilog源代码-FPGA control THS1207 multi-channel ADC' s verilog source code
Platform: | Size: 1728512 | Author: 朱健 | Hits:

[Software EngineeringADC_16bit.v

Description: 一个verilog编写的16位ADC程序。该程序方便了DAC的设计人员对DAC提供输入信号,以此可以获得理想的DAC所需信号-Verilog to write a 16-bit ADC program. The program facilitates the DAC' s designers to provide input signals to the DAC, in order to be able to get a good DAC desired signal
Platform: | Size: 1024 | Author: 徐振涛 | Hits:

[VHDL-FPGA-VerilogSOUND_PLAY6

Description: WM8731芯片的音效处理verilog代码, WM8731芯片是音频ADC\DAC芯片-WM8731 audio processing chip verilog code, WM8731 chip audio ADC \ DAC chip
Platform: | Size: 2725888 | Author: 顾好人 | Hits:

[VHDL-FPGA-VerilogAD_4-

Description: ADC,芯片AD7812的转换代码,可实现AD转化,AD芯片用的是AD7812,实现16位数模转化-Verilog HDL code, the AD conversion can be achieved. AD-chip using a AD7812
Platform: | Size: 1024 | Author: 管鑫 | Hits:

[VHDL-FPGA-VerilogADC_Sample

Description: 本人自己经过实践检验的ADC数据采集程序,通过FPGA采集数据,并用SRAM做缓存,用Verilog编写的,非常好用。-I own proven ADC data collection procedures, data collection through the FPGA and SRAM do with caching, using Verilog prepared, very easy to use.
Platform: | Size: 1236992 | Author: 孟德 | Hits:

[VHDL-FPGA-VerilogADC_handle

Description: 针对ADC器件AD9226的数据采集处理流程,针对手册时序做的有效数据输出控制。Verilog HDL- ADC AD9226 data acquisition device for processing flow for the manual timing do valid data output control.Verilog HDL
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-VerilogALINX9226_406

Description: 采用了两片 ADI 公司的 AD9226, 此芯片是一款单芯片、 12 位、 65 MSPS 模数转换器( ADC),采用单电源供电,它数据速率达 65 MSPS。该资料基于fpga采用verilog语言实现编程。-Using two ADI' s AD9226, this chip is a single chip, 12, 65 MSPS ADC (ADC), a single power supply, which speeds up data 65 MSPS. The data based on fpga using verilog language programming.
Platform: | Size: 9142272 | Author: Grace | Hits:

[VHDL-FPGA-VerilogADC_TLC549

Description: verilog编写,利用fpga自带ADC芯片tlc549实时采集电压信号,并通过数码管显示。-verilog write, use fpga comes tlc549 ADC chip voltage signal real-time acquisition and through digital display.
Platform: | Size: 2048 | Author: | Hits:
« 1 2 3 45 »

CodeBus www.codebus.net